EUVL Symposium
 

ASML

For engineers who think ahead

ASML is a successful high-tech company headquartered in the Netherlands, which manufactures complex lithography machines that chip manufacturers use to produce integrated circuits. The steady progress of the world’s technological evolution through smaller, faster, smarter, more energy-efficient yet more affordable chips is to a large extent the result of technological breakthroughs at ASML. In the space of almost years we have grown from nothing into a multinational with 70 locations in 16 countries and annual sales of 5.86 billion Euros in 2014.

Behind ASML’s technological breakthroughs are engineers who think ahead. The people who work at ASML include some of the most creative minds in physics, mathematics, chemistry, mechatronics, optics, mechanical engineering, software engineering, and computer science. And, because ASML spends more than 1 billion Euros per year on R&D, our people have the freedom and the resources to push the boundaries of known technology. They work in close-knit, multidisciplinary teams and each day they listen to, learn from and exchange ideas with each other.

The ideal environment for growth

With such a diverse technology culture, generous R&D budget, and a collective determination to create, develop and perfect entirely new technologies ASML represents the ideal environment for professional development and personal growth. 



Applied Materials

Applied Materials is the global leader in materials engineering solutions for the semiconductor, flat panel display and photovoltaic industries. Our technologies help make innovations like smartphones, flat screen TVs and solar panels more affordable and accessible to consumers and businesses around the world. Learn more at www.appliedmaterials.com.



EIDEC



Energetiq

Energetiq Technology is the world's leading developer and manufacturer of ultra-bright light sources. Energetiq’s EUV Sources are compact, easy-to-use, reliable, and cost-effective. The EUV light source, based on Energetiq's proven Electrodeless Z-pinch™technology using Xenon gas. The EQ-10 EUV source is uniquely suited for metrology and research applications and has become the workhorse EUV source through its proven reliability, ease of use, and low operating cost. The EQ-10HP is capable of delivering up to 20 Watts of in-band EUV into 2pi steradians, and will run continuously at pulse repetition rates of up to 2.5kHz kHz. Energetiq's also offers Laser-Driven Light Sources (LDLS™) which are based on a revolutionary technology that generates high brightness across the spectrum, with high reliability and long life. www.energetiq.com



EUV Tech

Founded in 1997, EUV Tech is the world's leading manufacturer of at-wavelength EUV metrology equipment. EUV Tech partners with major semiconductor manufacturers and research centers around the world to deliver next generation inspection and metrology equipment for EUV lithography. Please visit www.euvtech.com or contact sales@euvtech.com for more information.

 



FUJIFILM

Successful semiconductor manufacturers move quickly. They demand quality and they require leading technology. For them, partnering with the right supplier is a critical decision. With state-of-the-art manufacturing facilities in the U.S., Europe, Taiwan, China, Korea and Japan, local sales offices throughout the world and on site applications support, FUJIFILM Electronic Materials is prepared to supply the world's top tier semiconductor manufacturers. FUJIFILM Electronic Materials offers a broad array of products and services used throughout the semiconductor manufacturing process. http://www.fujifilmusa.com/products/semiconductor_materials/index.html



Gigaphoton

In 2000, Gigaphoton was born as one of the leading high-tech companies supporting the semiconductor industry in the 21st century. Since then, Gigaphoton has consistently produced state-of-the-art excimer laser technologies for high volume manufacturing lithography systems. Gigaphoton is also making efforts to develop Laser Produced Plasma EUV light sources. http://www.gigaphoton.com



Hitachi

Hitachi High-Technologies Corporation, headquartered in Tokyo, Japan, is engaged in activities in a broad range of fields, including Electronic Device Systems, Fine Technology Systems, Science & Medical Systems, Industrial & IT Systems, and Advanced Industrial Products. The company's consolidated sales for FY 2014 were more than "637billion [approx. USD5.3 billion].
For further information, visit
http://www.hitachi-hightech.com/global/.



JSR Micro

JSR Micro manufactures the industry's most innovative family of photoresists, developers, and specialty chemicals for trilayer and immersion materials. JSR Micro's advanced solutions for EUV have proven to be the best match for the industry requirement. http://www.jsrmicro.be



Lasertec

Lasertec has successfully developed an actinic blank inspection (ABI) tool that uses the 13.5nm wavelength of EUV lithography in a joint effort with EIDEC. The ABI tool addresses the defect management for EUV mask blanks and is thought to be crucial for enabling HVM. Lasertec also offers a backside inspection and height measurement tool for EUV masks called BASIC Series. To learn more about our capabilities and products, visit www.lasertec.co.jp/en



Litho Tech Japan

Litho Tech Japan is developing a resist analyzer system. It is the manufacturer of the outgassing evaluation equipment, the development rate monitor, the EUV open-frame exposure equipment for the EUV resist. We have a development parameter measurement service for EUV resist.




Luxel

Luxel is the world’s leading producer of ultra-thin freestanding filters used for soft x-rays and extreme ultraviolet light.  Luxel products, including space flight filters, pressure windows, and imaging sensor protectors, are assembled in Class 5 cleanrooms.  Filters are fabricated from over 70 different materials, as thin as 8nm up to 20µm.  We offer extensive technical support including: thin film modeling, characterization and CAD design. Lead times can be as short as 1 week. Contact us at www.luxel.com




NuFlare Technology, Inc.

NuFlare Technology, Inc. is a supplier of electron beam mask writers, mask inspection systems, and epitaxial reactors. NuFlare’s EB mask writer is the key enabler for fabricating original masks utilized in various lithographic solutions, such as Photo-lithography, EUV-lithography and Nano-imprint lithography.  www.nuflare.co.jp



optiX fab

optiX fab was founded in 2012 to commercialize Fraunhofer IOF’s EUV optics research and development activities. Located in Jena, Germany, optiX fab designs, develops and fabricates EUV imaging, illumination and collector optics. optiX fab is currently supplying chipmakers, EUV tool and source manufacturers as well as institutes, universities, synchrotron beamlines and EUV research consortia worldwide with customized multilayer and grazing incidence optics for EUV lithography applications at 13.5 nm and the entire XUV, soft and hard X-ray spectral range.

www.optixfab.com


Research Instruments

At RI Research Instruments we design, manufacture, test, and deliver components, systems and solutions to the demands of our customers in accelerator and energy technology, special manufacturing, synchrotron instrumentation and for EUV/XUV applications.

Our EUV/XUV high performance products and solutions are used in many world leading laboratories of the semiconductor industry, in material and life sciences as well as in nanotechnology.



Screen

SCREEN Semiconductor Solutions Co. coat/develop tracks for EUV Lithography: SOKUDO DUO (450mm/300mm), 80EX (200mm). http://www.screen.co.jp/eng/spe/index.html


SUSS MicroTec

With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring in the semiconductor industry and related markets. Our portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing, complemented by micro-optical components.


TEL

As a leading global supplier of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. All of TEL's semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments. TEL provides outstanding products and services to customers through a global network of approximately 80 locations in 16 countries in the U.S., Europe, and Asia. Further information can be found at http://www.tel.com.



TNO

TNO International Centre for Contamination Control (ICCC) is dedicated to developing the newest, most innovative, most reliable contamination control solutions. By implementing its state-of-the-art technologies for prevention, diagnosis and mitigation of both particulate and molecular contamination in your products and processes, ICCC turns contamination control into your competitive advantage. ICCC is equipped with the most advanced facilities, including ultra-clean handling equipment (for e.g. EUV masks), diagnostic and exposure tools for both particle and molecular contamination, inspection and analysis tools as well as various cleaning equipment. In 2016, TNO will expand its ICCC infrastructure with a new, high-intensity 13.5 nm beam line, capable of testing and analyzing samples up to full EUV mask size.  https://www.tno.nl/en/focus-area/industry/semiconductor-equipment/tno-international-centre-for-contamination-control-iccc/



TOK

Zeiss

ZEISS is an internationally leading technology enterprise operating in the optics and optoelectronics industries. ZEISS develops and distributes lithography optics, measuring technology, microscopes, medical technology, eyeglass lenses, camera and cine lenses, binoculars and planetarium technology. With its solutions, the company constantly advances the world of optics and helps shape technological progress. The company is divided up into the six business groups Industrial Metrology, Microscopy, Medical Technology, Vision Care, Consumer Optics and Semiconductor Manufacturing Technology. ZEISS is represented in over 40 countries – with around 30 production sites, over 50 sales and service locations and about 25 research and development facilities.

In fiscal year 2013/14 the company generated revenue approximating 4.3 billion euros with just under 25,000 employees. Founded in 1846 in Jena, the company is headquartered in Oberkochen, Germany. Carl Zeiss AG is the strategic management holding company that manages the ZEISS Group. The company is wholly owned by the Carl Zeiss Stiftung (Carl Zeiss Foundation).

Further Information at www.zeiss.de


Semiconductor Manufacturing Technology

With a broad product portfolio and globally leading know-how in the fields of lithography and optical modules, the business group covers key processes in microchip production. Semiconductor Manufacturing Technology includes the Semiconductor Manufacturing Optics and Semiconductor Metrology Systems strategic business units. The business group generated revenues of 1.047 billion euros in fiscal year 2013/14 and has a workforce of over 2,900 people. The business group is headquartered in Oberkochen.