EUVL Symposium
 

KEYNOTE SPEAKERS

 

Hiroo Kinoshita is an expert with over 40 years experience in lithography. He worked for NTT, where he developed the step and repeat X-ray lithography system and an EUVL experimental system. He moved to Himeji Institute of Technology (now university of Hyogo) in 1995, and since then he has been responsible for the industrial application of synchrotron radiation. He received his BS, MS and PhD degrees in mechanical engineering from the KEIO University. He has authored or co-authored over 190 technical papers on EUVL. He is a Fellow of the Optical Society of America and Japan Applied Physics. And also he is the winner of the Teiichi Yamazaki Award, Joseph Fraunhoffer Award/Robert M. Burley Prize, Education, Culture, Sports, Science Minister's Award and The photopolymer, and The Photo Polymer Science and Technology Award, etc.  

Present: He is advancing the EUVL work of research management as a Senior Fellow Professor and vice director of Institute for Research Promotion and Collaboration at University of Hyogo.

Main field of research: He continues a research work in EUVL field and measurements of optical constant in the range of X-ray and EUV.

Martin van den Brink was appointed President and CTO of ASML on 1 July 2013. He joined ASML when the company was founded in early 1984. He held several positions in engineering and, since 1995, Mr. Van den Brink served as Vice President Technology. In 1999 Mr. Van den Brink was appointed as Executive Vice President Marketing & Technology and as a member of ASML's Board of Management.

Mr. Van den Brink has earned a degree in Electrical Engineering from HTS Arnhem (HAN University), and a degree in Physics (1984) from the University of Twente, the Netherlands. In 2012, he was awarded an honorary doctorate in physics by the University of Amsterdam, and in 2014 he was made a Knight of the Order of the Dutch Lion (Orde van de Nederlandse Leeuw).

He received the 2014 IEEE Cledo Brunetti Award for contributions to nanotechnology, and was awarded the 2015 IEEE Robert N. Noyce Medal for exceptional contributions to the microelectronics industry.

Winfried Kaiser is Senior Vice President of Product Strategy for Carl Zeiss SMT GmbH. Kaiser has led product development in the Semiconductor Business Unit for more than 20 years. In this period he pioneered the development of 193nm lithogragraphy optics and its extension to Hyper NA solutions for Immersion; in parallel he initiated the EUVL development in Europe. Prior to his work in product strategy, Kaiser held engineering positions in optical design, system engineering, optical metrology, and semiconductor optics at Carl Zeiss.
 
Kaiser holds a Diploma in Physics from the University of Tübingen and Stuttgart.
 
Kaiser received the SEMI Bob Graham Sales and Marketing Excellence award in 2014 for creating the optical solutions which allowed to continue Moore’s Law. In 2008 he was named Fellow of the SPIE Society and in 2007 was named the first Carl Zeiss Fellow.            
INVITED SPEAKERS
Mark Phillips is a Senior Principal Engineer in Intel’s Logic Technology Development group in Hillsboro, Oregon.  After completing a PhD in Physics from the California Institute of Technology, he joined Intel 21 years ago to work on development of the 0.35 micron process node.  For the last 12 years, he has been the primary technical interface to Intel’s exposure tool suppliers, and has worked on the introduction of every new generation of exposure tool into technology development and manufacturing.  In the last few years, Mark has also been responsible for defining the roadmap for the factory automation systems that support Intel’s lithography tools, and has worked on introduction of new metrology techniques to support lithography.
Jack J.H. Chen is currently leading TSMC’s EUVL program toward high volume manufacturing. He joined TSMC in 1995 after receiving his degree in Physics from National Taiwan University. He participated in the pioneer team for introducing each generation’s new lithography tools including KrF, ArF, and ArF immersion. At the 2005 SPIE conference, Jack Chen was the first to show data from a chip fabricated by immersion lithography to the lithography community. In 2007, after successfully helping ArFi lithography move into production, he was assigned by his supervisor, Dr. Burn Lin, to lead the Multiple E-Beam Maskless Lithography (MEBML2) Program to make it one of the options for 22nm-HP and beyond nodes. Since 2012, his mission has been to accelerate EUVL development for HVM insertion.
Matthew Colburn is the senior manager of IBM’s Strategic Patterning Research group responsible for development of next-node patterning solutions for the IBM Alliance.  His research responsibilities span metrology and inspection, reactive ion etch, and lithography materials process and tooling.  Previously, he received his Ph.D. in Chemical Engineering at The University of Texas at Austin.  In 2001, he joined the Exploratory Interconnect group at IBM’s Watson Research Center where he developed BEOL integration techniques, novel imaging techniques and diblock self-assembly.  Subsequently, he joined the Advanced Lithography group developing immersion lithography, and double patterning processes.  In 2007, he became manager of the IBM Advanced Lithography team at Albany Nanotech and was responsible for the lithography materials, process, and infrastructure solutions.  Dr. Colburn was named IBM Master Inventor in 2014.


Naoya Hayashi received his BS degree in applied chemistry, and MS degree in electronic chemistry from Tokyo Institute of Technology, Tokyo, Japan. He joined Dai Nippon Printing Co., Ltd. (DNP) in 1977.

He has been responsible for development of photomask technologies for more than 35 years at DNP, such as electron beam exposure systems, resist materials and processes, phase-shifting materials, NGL masks for EUV and Nanoimprint.

He is the first and only Research Fellow of DNP since 2007.

He was recognized a SPIE Fellow in 2011.

He is the Conference Chair of BACUS, SPIE Photomask Technology, 2015.