MEMS Executive Congress US 2013
 

Sponsors

Interested in sponsoring MEMS Executive Congress? Contact Karen Lightman today about opportunities or call (412) 390-1644.


Platinum Sponsor

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world.


For more information, visit: www.EVGroup.com



Gold Sponsor

SPTS Technologies designs, manufactures, sells, and supports etch, PVD, CVD and thermal capital equipment and process technologies for the global semiconductor and micro-device industries, with focus on the MEMS, advanced packaging, LED, high speed RF device and power management markets.
The solutions offered by SPTS include market-leading silicon etch, dielectric etch, dry-release etch, PVD, PECVD, APCVD and large batch vertical furnaces, available with a range of wafer-handling options applicable to R&D, pilot production, or volume production. Comprehensive service and spare parts support are offered through a worldwide network of service centres and qualified local agents.

For additional product or contact information visit: www.spts.com



Silver Sponsors


Analog Devices offers a comprehensive portfolio of high performance MEMS inertial sensors and microphones including a range of discrete components and plug-in ready solutions. Every ADI MEMS accelerometer, gyroscope, inertial measurement unit (IMU), and analog or digital output microphone integrates industry-leading sensor and sensor signal conditioning technology on chip, enabling the latest innovations in industrial, medical, automotive and consumer design.

For more information, visit: www.analog.com/MEMS


Freescale is a global leader in embedded processing solutions, providing industry leading products that are advancing the automotive, consumer, industrial and networking markets. From microprocessors and microcontrollers to sensors, analog integrated circuits and connectivity – our technologies are the foundation for the innovations that make our world greener, safer, healthier and more connected. Expanding on its more than 30-year heritage of sensor innovation, Freescale has an extensive portfolio of acceleration, magnetic, pressure and touch sensors. Freescale's Xtrinsic sensing solutions are designed with the right combination of high-performance sensing capability, processing capacity and customizable software to help deliver smart, differentiated sensing applications.

Some of our key applications and end-markets include automotive safety, hybrid and all-electric vehicles, next generation wireless infrastructure, smart energy management, portable medical devices, consumer appliances and smart mobile devices. The company is based in Austin, Texas, and has design, research and development, manufacturing and sales operations around the world.

For more information visit: www.freescale.com



With over 60 years of engineering experience and thousands of active systems installed worldwide, SUSS MicroTec is amongst the leading suppliers of process equipment for semiconductors and related markets. The solution portfolio covers all performance steps for photomask and wafer processing ranging from cleaning, coating, baking, developing, aligning, to wafer bonding and is complemented by micro-optical components.

From Advanced Packaging to 3D Integration. SUSS MicroTec has been at the forefront of companies supporting the advanced packaging industry with dedicated lithography solutions. With the trend leading towards 3D architectures for IC integration and packaging, we support 3D Packaging and 3D Interconnect processes with precision equipment for thick resist and high topography applications, Through-Silicon-Via (TSV) manufacturing, bonding and de-bonding solutions, stacking technologies as well as products for the production of CMOS image sensors.

MEMS and Compound Semiconductor Manufacturing. With a full range of wafer-processing equipment and extensive experience in warped wafer handling solutions, SUSS MicroTec has positioned itself as the leader in high-volume Micro-Electro-Mechanical-Systems (MEMS) manufacturing. Our equipment is specifically designed to handle non-standard substrates like fragile compound semiconductors and incorporates specialized hardware such as coaters, aligners and bonders optimized for LED manufacturing.

For more information, visit: www.suss.com
ST is a global leader in the semiconductor market serving customers across the spectrum of sense and power technologies and multimedia convergence applications. From energy management and savings to trust and data security, from healthcare and wellness to smart consumer devices, in the home, car and office, at work and at play, ST is found everywhere microelectronics make a positive and innovative contribution to people's life. By getting more from technology to get more from life, ST stands for life.augmented. In 2011, the Company’s net revenues were $9.73 billion.

ST has a strong focus on delivering solutions that help enrich people’s lives, make society work better, and protect the planet. The Company’s world-class products and technologies serve to:
  • enable the convergence of multimedia and communication in smart consumer devices that help people interact anywhere, anytime;
  • increase energy efficiency all along the energy chain, from power generation to distribution and consumption;
  • provide all aspects of data security and protection;
  • and contribute to helping people live longer and better by enabling emerging healthcare and wellness applications.

For more information, visit: www.st.com



Bronze Sponsor

Applied Materials, Inc. is the global leader in providing innovative equipment, services and software to enable the manufacture of advanced semiconductor, flat panel display and solar photovoltaic products. Our technologies help make innovations like smartphones, flat screen TVs and solar panels more affordable and accessible to consumers and businesses around the world.

At Applied Materials, our mission is to help turn next generation technology into profitable new market opportunities for our customers. With over 40 years' experience, we are the global leader in creating high-volume nanomanufacturing technology and equipment. In that time, we've helped high-tech manufacturers improve factory efficiency and end-product performance, effectively creating and taking advantage of market growth opportunities. We are the company behind the scenes of some of the industries that have helped changed the world as we know it.

For more information, visit: www.appliedmaterials.com



Friday Night Dinner Sponsor


Maxim Integrated designs, manufactures, and sells high-performance semiconductor solutions. A leader in analog innovation and integration, Maxim Integrated is unique among semiconductor companies in the range of disparate analog functions we can combine onto a single chip or subsystem. We put analog together in a way that sets our customers apart, and our highly integrated solutions help customers get to market faster with systems that are smaller and consume less power. We reported revenue of approximately $2.4 billion for fiscal 2012. 

For more information, visit: www.maximintegrated.com



MEMS Technology Showcase Sponsor

Kionix, Inc., a global MEMS inertial sensor manufacturer based in Ithaca, NY, USA, offers high-performance, low-power accelerometers, gyroscopes, and 6-axis combination sensors plus comprehensive software libraries that support a full range of sensor combinations, operating systems and hardware platforms. Leading consumer, automotive, health and fitness and industrial companies worldwide use Kionix sensors and total system solutions to enable motion-based functionality in their products. Kionix is a wholly owned subsidiary of ROHM Co., Ltd. and is ISO 9001:2008, TS 16949, and ISO 14001: 2004 certified.

For more information, visit: www.kionix.com



Elevator Pitch Sponsor

Micralyne is one of the world's largest independent MEMS (Micro-Electro-Mechanical-Systems) manufacturers serving several industries including communications, automotive, and biomedical. Its MEMS solutions include telecommunications optical switch systems, lab-on-a-chip devices, sensors for automobiles, oil and gas exploration and other applications. Micralyne has developed world- leading core competencies in several specialized fields including micromachining, thin film deposition, microfluidics, associated characterization, and packaging & assembly capabilities. Micralyne employs over 180 people and is headquartered at a 55,000 sq ft state-of-the-art production facility in Edmonton, Alberta, Canada.  


For more information, visit: www.micralyne.com



Panel Sponsor: MEMS & Wireless Sensor Networks


Bosch Sensortec GmbH is a fully owned subsidiary of Robert Bosch GmbH. It develops and markets micro-mechanical sensors for consumer electronics, mobile phones, safety systems, industrial technology and logistics. The product portfolio includes triaxial geomagnetic and acceleration sensors, triaxial gyroscopes, barometric pressure sensors and a comprehensive software portfolio for various applications. Since its foundation in 2005 Bosch Sensortec emerged as the technology leader in the addressed markets. The Bosch Group has been the global market leader for MEMS sensors since 1998 and has to date sold more than 2 billion MEMS sensors. 

For more information, visit www.bosch-sensortec.com
 
Bosch Automotive Electronics (AE) - headquartered in Reutlingen, Germany - is the largest manufacturer of micromechanical sensors and one of the largest automotive semiconductor manufacturers in Europe. AE is the undisputed market leader for automotive MEMS (Micro-Electro-Mechanical Systems) sensors. We design, manufacture, and sell sensors, ASICs, ASSPs, and power semiconductors, based on experience in automotive electronics components of more than 40 years. With sales and application forces located in Europe, North America, Japan, China, and South Korea, AE offers worldwide customer support. In addition to the Bosch Group itself, our customer base includes many well-known ECU makers in the Automotive sector.
 
For more information about Bosch Automotive Electronics, visit www.bosch-sensors.com

 
Akustica, Inc. designs, develops, and markets proprietary silicon microphones, speakers and complete acoustic system-on-chip solutions. Through a revolutionary technology known as Sensory Silicon™, Akustica products enable cell phones, PC’s, and other electronic products to sense and respond to the world around them. Leveraging standard complementary metal oxide semiconductor (CMOS) processes and micro- electromechanical systems (MEMS) technology, Akustica fabricates acoustic membranes and other sensor structures in the same chip with analog and digital circuitry.
 
To learn more about Akustica and its solutionsplease visit: www.akustica.com



Program Sponsor


For more information, visit: www.memsjournal.com



Post-Dinner Networking Sponsor

Coventor is the leading provider of MEMS design automation solutions. Its platform of 3D simulation tools and MEMS expertise significantly reduce the time, cost and effort to optimize performance and bring increasingly complex MEMS devices to market. Coventor’s tools combine the capacity and accuracy required for high performance MEMS design and offer an integrated approach to enabling MEMS+IC design.Its solutions are embraced by industry experts who agree that “build and test” is no longer an option as a design platform for MEMS.  


For more information, visit: www.coventor.com



"Topic Table" Lunch Sponsor


Okmetic supplies advanced silicon wafers for sensor and semiconductor applications and sells its technological expertise. Okmetic is the global market leader in demanding MEMS-based sensor wafers, with 30 years’ experience in MEMS business. The company provides a wide range of 100-200mm silicon wafers for surface and bulk micromachining, encapsulation and MEMS-IC-integration designs including double-side polished (DSP), single-side polished (SSP), epitaxial, and bonded silicon-on-insulator (SOI) wafers.

Okmetic’s products are based on high-tech expertise generating added value for customers, innovative product development and an extremely efficient production process. Okmetic is ISO 9001, ISO 14001, and ISO/TS 16949 certified.


For more information, visit: www.okmetic.com



Friday Networking Lunch Sponsor


Plan Optik AG, a high tech glass processor, existing since 1972 and based in Germany, has focused more and more on MEMS related products from glass or similar materials (mainly borosilicate glass wafers used for wafer level packaging of MEMS).

Within the last years, Plan Optik - Wafers set the standard for glass wafers of this still young market. In the near future the technologies developed and used by Plan Optik will set new standards in consumer electronics - especially for mobile phones and DVD pickup heads of the newest generation.

Plan Optik is already serving customers in Asia, North America and Europe such as Infineon, Motorola, Samsung, Honeywell, Zeiss and Bosch. Markets Served: MEMS, Semiconductor, Consumer Electronic.


For more information, visit: www.planoptik.com



Breakfast Sponsor

Movea is the leading provider of data fusion and motion processing firmware, software, and IP for the consumer electronics industry. Thriving on its portfolio of more than 440 patents, Movea licenses our unique SmartFusion™ technologies to customers in three primary markets: mobile, Interactive TV, and sports. Our platform of data fusion engines, developer tools and data models enable our customers and partners to quickly and easily add interactivity and awareness to their products. As a result, our customers can reduce the risk, cost, and time-to-market for delivering compelling new features that differentiate their products, enhance the consumer experience, and deliver more end-user value.

Movea has a global reach, with headquarters in Grenoble, France, a US subsidiary in Silicon Valley, California, as well as technology partners, manufacturing partners and distributors around the world.

For more information, visit: www.movea.com



Wireless Internet Sponsor

Teledyne DALSA Semiconductor is a leading pure-play MEMS Foundry with extensive experience on fabrication and materials science that make manufacturing of MEMS product possible on 150mm /200mm wafers. Teledyne DALSA Semiconductor also offers industry-leading manufacturing capability, design support and custom design services for high voltage CMOS ICs and MEMS micro-mirrors applications.

For more information, visit: www.teledynedalsa.com



Break Sponsor

As the world’s largest pure-play MEMS foundry, Silex Microsystems is driving the sensory system revolution by partnering with the world’s most innovative companies to commercialize MEMS technologies that are changing the world.  Our unique expertise in providing cutting-edge MEMS foundry services, innovative process technologies and proven high volume production capabilities enable MEMS innovators to rapidly, cost-effectively and reliably commercialize and ramp products to high volume.  At Silex, customers work closely with the industry’s most knowledgeable and creative MEMS manufacturing experts and benefit from our global ecosystem of development partners to take MEMS to market faster. 

For more information, visit:www.silexmicrosystems.com

 

Fries Research & Technology GmbH (FRT) offers a comprehensive range of metrological surface measuring systems for the non-destructive investigation of topography, profile, film thickness, roughness, abrasion and many other properties. More than 400 reputable international companies from the automotive, semiconductor, MEMS, optical, photovoltaic and many other industries equip their R&D and production departments with FRT metrology systems.

FRT operates from Bergisch Gladbach, Germany and maintains subsidiaries in China, Switzerland and the United States. Additionally, FRT provides a distribution and service network in the USA, Asia and Europe.

For more information, visit: www.frt-gmbh.de



AEPI, Invest in Grenoble Isere, France, provides complimentary information, introductions and services to assist companies in exploring partnerships and business opportunities in France’s Silicon Valley, Europe’s top center in micro-nanotechnologies and home to STMicro, Movea, Tronics, etc. Ask us about R&D funding via collaborative projects, tax credits, etc.

Working closely with CEA-Leti/Minatec, Minalogic (cluster for micro/nano, and software solutions) Tenerrdis (renewable energy cluster) and LyonBiopole (microfluidics, electronics for health, nanotech for drug delivery, detection, diagnostics ….), AEPI is also a member of the local board of the Semi Europe Grenoble office, and active in the European project Silicon Europe.

For more information, visit: www.grenoble-isere.com/eng/



LETI is the main French R&D center for MEMS, covering the entire chain, from component design to pilot production. LETI designs, manufactures and qualifies all types of microsystems (pressure sensors, inertial sensors, optical sensors, RF MEMS, actuators…).

LETI is active in development and industrial transfer in automotive, consumer, industrial, medical and wireless markets.

Competitive advantages include: design to pilot scale, up-to-date 200mm MEMS line (~8000m²) with continuous (3 shifts, 7 days/week) operation, world-class research environment in Minatec, in Grenoble, extensive experience in industrial transfers, broad patent portfolio.

Leti is an institute of CEA, a French research-and-technology organization with activities in energy, IT, healthcare, defense and security.

For more information, visit: www.leti.fr/


X-FAB MEMS Foundry offers unsurpassed experience, expertise and execution with its high-volume MEMS manufacturing service. The first pure-play MEMS foundry, X-FAB draws on more than 15 years MEMS manufacturing.  Operating from five fabs for MEMS and CMOS processes and an ecosystem of manufacturing and design partners, X-FAB is the proven choice for process development and installation, process capability, design support and long-term manufacturing stability. As well as customer specific process installation, X-FAB offers a range of qualified, open-platform processes and designs for key MEMS device types, enabling customers to have the fastest time to market in the foundry industry.

For more information, visit: www.xfab.com



Restroom Sponsor

 
Founded in 1973, ACUTRONIC (www.acutronic.com) has grown to be a recognized supplier of motion simulators and test equipment for gyro- and accelerometer-based sensors. ACUTRONIC products cover the spectrum of motion testing needs for the MEMS industry. Our product line ranges from single-axis rate tables to multi-axis motion simulators; from low-precision to high-precision; with and without temperature chambers; and with limited or unlimited rotation. ACUTRONIC continues to develop new products and services to meet the unique testing needs of the MEMS industry.
 
ACUTRONIC also recognizes that many MEMS companies either are not ready to make the investment in testing equipment, do not have in-house motion testing expertise, or only have short-term needs for R&D testing. To help meet this demand ACUTRONIC offers the ability to rent test time in our motion test facility or lease motion test equipment.
 
For more information, visit: www.acutronic.com



Video and Photography Sponsor

MCA Public Relations, now in its third decade as a high-tech communications leader, serves all areas of the technology ecosystem on both sides of the B2B and B2C fence. MCA focuses on serving the global semiconductor, flat-panel display, MEMs, embedded electronics, solid state lighting and alternative energy industries. MCA tailors each client program to blend the best of traditional PR and new media strategies to ensure a measurable impact on its clients’ business. With a client base that ranges from innovative startups to established industry leaders, MCA offers a full spectrum of communications programs marked by solid strategy and relentless execution.

For more information, visit: www.mcapr.com



Supporting Sponsors

EEJournal.com brings you more in-depth coverage of the fast-changing MEMS and sensors space than any other broad-based engineering publication. EE Journal's editors look past the news, bringing you in-depth analysis, insight, and tips to help you succeed in your next project. We tackle the dynamic MEMS space with energy, experience, and a sense of humor.

Subscribe today for our new MEMS-focused newsletter, or visit our MEMS channel online at: http://www.eejournal.com/design/electromechanical



IHS Electronics & Media provides market, technology and supply chain data, analysis and forecasts at every operational step of the electronics and media value chain from strategy, planning and analysis to product design, market development and supply chain management. 

For more information, please visit www.ihs.com/electronics-media.



The MEMS and Nanotechnology Exchange (MNX) provides design and fabrication services to the U.S. research community. Our engineers offer a complete range of technical support to assist researchers who need a trusted partner at any project phase, including early-stage development, design and modeling, prototype fabrication and low-volume manufacturing. Since 1999, MNX has completed more than 2400 customized process sequences for over 900 organizations.

For more information, visit: www.mems-exchange.org


Rock Health is powering the future of the digital health ecosystem, bringing together the brightest minds in health and technology to build better solutions. We support digital health entrepreneurs through a startup accelerator, public events, and open-source research.

For more information, visit www.rockhealth.com


Semico Research is a semiconductor marketing & consulting research company located in Phoenix, Arizona. Semico was founded in 1994 by a group of semiconductor industry experts. We have improved the validity of semiconductor product forecasts via technology roadmaps in end-use markets. Semico offers custom consulting, portfolio packages, individual market research studies, a monthly report featuring the 1 year forward looking Inflection Point Indicator (IPI) and premier industry conferences. Key areas of focus include MEMS, semiconductor manufacturing, IP, design starts, SOC, memory, logic, analog, and an on-line end use market database offering 24/7 access.

For more information, visit: www.semico.com


Solid State Technology is the leader in covering MEMS, semiconductor, packaging, displays and LEDs manufacturing technology, materials, products and news. For over 50 years, we have delivered the highest caliber content through our free magazine, and we also offer free e-Newsletters, a comprehensive website and an annual conference, The ConFab.

In Solid State Technology Magazine, our MEMS direct e-Newsletter and the MEMS channel on our website (www.solid-state.com/mems), we focus on MEMS manufacturing and packaging challenges and new integration strategies.

Request your FREE subscription at www.solid-state.com/subscribe, and see why electronics manufacturing professionals worldwide trust Solid State Technology.


Beginning in 1998 with Yole Développement, we have expanded to a group of companies providing market research, technology analysis, strategy consulting, media, and financial services.

Yole Développement group has grown to more than 50 associates worldwide covering emerging and disruptive silicon and micro manufacturing markets including MEMS, medical technologies (including Microfluidics and BioMEMS), advanced packaging, compound semiconductors, power electronics, LEDs, and photovoltaics. The group supports companies, investors and R&D organizations worldwide in understanding markets and following technology trends to grow their businesses.

For more information, visit: www.yole.fr